Wyniki wyszukiwania dla "vhdl"

3 odpowiedź

clk'event vs rising_edge ()

4 odpowiedź

Jak przekonwertować 8 bitów na 16 bitów w VHDL?

5 odpowiedzi

VHDL: użyj długości ogólnej liczby całkowitej do określenia liczby wybranych linii

Próbuję stworzyć zmiennokształtny przesuwnik beczki; pobiera tablicę wejściową bitów i przesuwa je o pewną liczbę pozycji (określonych przez inne wejście). C...

2 odpowiedź

Tworzenie dzielnika zegara

Znalazłem ten kod, jak zrobić dzielnik zegara. Mam ogólne zrozumienie, jak zrobić dzielnik za pomocą liczników, ale nie wiem, co robi ten kod i dlaczego to r...

2 odpowiedź

Ostrzeżenie syntezy VHDL FF / Latch ma stałą wartość 0

2 odpowiedź

Jak reprezentować liczbę całkowitą większą niż całkowita liczba całkowita

4 odpowiedź

Ciągłe zadanie najwyraźniej nie działa

Pracuję nad filtrem FIR, szczególnie linią opóźnienia.

3 odpowiedź

Jak mogę odczytać dane binarne w VHDL / modelsim bez użycia specjalnych formatów binarnych

2 odpowiedź

zmień sygnał wewnątrz procesu za pomocą instrukcji if - VHDL

Mam ten kod w VHDL. Chcę, żeby pierwszy powstał, kiedy się pojawił, a potem najpierw sam upadnie. Ale kiedy to symuluję, pierwszy nigdy nie spada!

2 odpowiedź

Czy przypisanie sygnału (współbieżnego) w instrukcji procesu jest sekwencyjne lub współbieżne?

Z tego co rozumiem, wszystkie instrukcje wewnątrz PROCESU są wykonywane sekwencyjnie. Co zatem dzieje się z jednoczesnym przypisaniem sygnału (<=)? Czy dz...