Результаты поиска по запросу "vhdl"
Как я могу читать двоичные данные в VHDL / modelsim без использования специальных двоичных форматов
Немного предыстории:Я пишу тестовый стенд VHDL для локальных сетей MAC. Тестовый стенд состоит из пакета и объединенного файла сущности + архитектуры. Я хочу...
подписано в std_logic_vector, результаты среза
Мне нужно принять абсолютное значение результата, и меня интересуют только самые важные биты. Вот что я сделал:
Синтез VHDL - FF / значение константы защелки
Я пытаюсь синтезировать модуль VHDL, который я написал. Код ниже: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values ...
Лучшие способы реализации операции по модулю (вопрос об алгоритме)
Недавно я пытался реализовать модульный экспонент. Я пишу код на VHDL, но я ищу совет более алгоритмического характера. Основным компонентом модульного экспо...
@ user1155120 к счастью, в stackoverflow вы всегда можете опубликовать лучший ответ;)
ользую плату FPGA Spartan 2 и хочу подсчитать количество нажатий клавиш на клавиатуре. Это мой VHDL-код: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ENTITY ...
Что означает 1-, 2- или 3-процессный процесс для FSM в VHDL?
Похоже, что существует довольно много споров о том, как кодировать конечные автоматы (FSM) в VHDL. Люди говорят об однопроцессных, двухпроцессорных или трехп...
Ошибка (10028): не удается разрешить несколько постоянных драйверов для сети… ОШИБКА VHDL
Я пытаюсь написать код, который будет обнаруживать нарастающий фронт сигнала дин и будет повышать dout в течение 5 тактов после этого. Я продолжаю получать разные ошибки при компиляции, и я не уверен, что они означают. Я думаю, что мне не хватает ...