Wyniki wyszukiwania dla "vhdl"

2 odpowiedź

Błąd (10028): Nie można rozwiązać wielu stałych sterowników dla net… VHDL ERROR

2 odpowiedź

zmień sygnał wewnątrz procesu za pomocą instrukcji if - VHDL

Mam ten kod w VHDL. Chcę, żeby pierwszy powstał, kiedy się pojawił, a potem najpierw sam upadnie. Ale kiedy to symuluję, pierwszy nigdy nie spada!

2 odpowiedź

Tworzenie dzielnika zegara

Znalazłem ten kod, jak zrobić dzielnik zegara. Mam ogólne zrozumienie, jak zrobić dzielnik za pomocą liczników, ale nie wiem, co robi ten kod i dlaczego to r...

2 odpowiedź

Kompilowanie * .vhdl do biblioteki przy użyciu Altera Quartus II

2 odpowiedź

Czy przypisanie sygnału (współbieżnego) w instrukcji procesu jest sekwencyjne lub współbieżne?

Z tego co rozumiem, wszystkie instrukcje wewnątrz PROCESU są wykonywane sekwencyjnie. Co zatem dzieje się z jednoczesnym przypisaniem sygnału (<=)? Czy dz...

3 odpowiedź

Zamieszanie między modelami programów behawioralnych i przepływu danych w VHDL

Używam podręcznika „VHDL: Programming By Example” Douglasa L Perry'ego, wydanie czwarte. Podał przykład modelu programowania Dataflow na stronie 4:Kod I:

4 odpowiedź

Ciągłe zadanie najwyraźniej nie działa

Pracuję nad filtrem FIR, szczególnie linią opóźnienia.

4 odpowiedź

Jak przekonwertować 8 bitów na 16 bitów w VHDL?

2 odpowiedź

Synteza VHDL - FF / Latch Constant Value

Próbuję zsyntetyzować napisany przeze mnie moduł vhdl.Kod jest poniżej:

3 odpowiedź

clk'event vs rising_edge ()