Wyniki wyszukiwania dla "vhdl"

2 odpowiedź

Synteza VHDL - FF / Latch Constant Value

Próbuję zsyntetyzować napisany przeze mnie moduł vhdl.Kod jest poniżej:

2 odpowiedź

Ostrzeżenie syntezy VHDL FF / Latch ma stałą wartość 0

4 odpowiedź

Jak przekonwertować 8 bitów na 16 bitów w VHDL?

3 odpowiedź

Zamieszanie między modelami programów behawioralnych i przepływu danych w VHDL

Używam podręcznika „VHDL: Programming By Example” Douglasa L Perry'ego, wydanie czwarte. Podał przykład modelu programowania Dataflow na stronie 4:Kod I:

2 odpowiedź

Czy przypisanie sygnału (współbieżnego) w instrukcji procesu jest sekwencyjne lub współbieżne?

Z tego co rozumiem, wszystkie instrukcje wewnątrz PROCESU są wykonywane sekwencyjnie. Co zatem dzieje się z jednoczesnym przypisaniem sygnału (<=)? Czy dz...

4 odpowiedź

Ciągłe zadanie najwyraźniej nie działa

Pracuję nad filtrem FIR, szczególnie linią opóźnienia.

4 odpowiedź

Czy proces odbywa się w trybie VHDL?

Czy możliwe jest wykonanie dwóch lub więcej sekwencji w procesie

2 odpowiedź

zmień sygnał wewnątrz procesu za pomocą instrukcji if - VHDL

Mam ten kod w VHDL. Chcę, żeby pierwszy powstał, kiedy się pojawił, a potem najpierw sam upadnie. Ale kiedy to symuluję, pierwszy nigdy nie spada!

2 odpowiedź

oblicz (i sprawdź) Ethernet FCS (crc32) w vhdl

5 odpowiedzi

VHDL: użyj długości ogólnej liczby całkowitej do określenia liczby wybranych linii

Próbuję stworzyć zmiennokształtny przesuwnik beczki; pobiera tablicę wejściową bitów i przesuwa je o pewną liczbę pozycji (określonych przez inne wejście). C...