Результаты поиска по запросу "verilog"

2 ответа

Что такое `+:` и `-:`?

Я недавно видел этот оператор в коде verilog / systemverilog. logic [15:0] down_vect; logic [0:15] up_vect; down_vect[lsb_base_expr +: width_expr] up_vect [msb_base_expr +: width_expr] down_vect[msb_base_expr -: width_expr] up_vect ...

1 ответ

Умножение путем суммирования степенных рядов с отрицательными членами

Как вычислить мультипликатор с плавающей запятой в Verilog? До сих пор я обычно использую shift << 1024, затем число с плавающей точкой становится целы...

3 ответа

сравнивая числа, чтобы отсортировать, затем получить среднее значение

Сортировка пяти целых чисел с использованием побитовых операторов или операторов сравнения может быть достигнута, сначала получив наибольшее число, затем второе наибольшее, затем третье и так далее. Вот мой код для получения наибольшего ...

ТОП публикаций

0 ответов

 укажите контроллер (Cypress cy68013a), который обрабатывает все соединения со скоростью 36 МБ / с между ПК и ПЛИС по USB. и API (интерфейс прикладного программиста) в C, C ++, C #, Ruby, Python и Java. цена 200 $. --- --- odsa

ужно прочитать маленькое изображение (формат tif) с ПК в комплект FPGA (ALTERA DE2-70) для обработки, а затем записать его обратно на ПК. Понятия не имею, как это сделать в Verilog? Это может быть сделано в C? если так, как я могу объединить мой ...

2 ответа

verilog количество единиц в массиве

3 ответа

Как эмулировать отображение $ с помощью Verilog Macros?

Я хочу создать макрос с несколькими параметрами, как $ display. Мой код выглядит так, но он не работает. `define format_macro(A) \ $write("%s", $sformatf(A)); \Вот как я назвал format_macro. `format_macro("variable = %d", variable)Как я могу ...

2 ответа

Последовательное тестирование и утверждение с помощью System-Verilog

3 ответа

Как прочитать переменную среды в Verilog / System Verilog?

Как мне прочитать переменную окружения в Verilog? (Работает на симуляторе VCS) Я пытаюсь сделать File=$fopen("$PATH/FileName","r");$ PATH - это переменная окружения.

4 ответа

Как «присвоить» значение для вывода reg в Verilog?

(вставьте действительно основной вопрос об отказе от ответственности здесь) Более конкретно, у меня есть следующая декларация: output reg icache_ram_rwИ в какой-то момент кода мне нужно поставить нулевое значение в этом регистре. Вот что я ...

1 ответ

Непостоянная индексация для логического утверждения в системверилоге

Я пытаюсь создать цикл for, который присваивает различные значения логическому массиву с учетом итерации цикла.Например, предположим, я пытаюсь создать два р...