verilog количество единиц в массиве

Я пытаюсь узнать количество единиц в 4-битном двоичном числе в Verilog, но ничего не происходит. Я'Я пробовал несколько подходов, это тот, который я думаю, должен работать, но это нет.

module ones(one,in);
input [3:0]in;
output [1:0]one;

assign one = 2'b00; 
assign one = one+in[3]+in[2]+in[1]+in[0] ;

endmodule

Ответы на вопрос(2)

Ваш ответ на вопрос