Как прочитать переменную среды в Verilog / System Verilog?

Как мне прочитать переменную окружения в Verilog? (Работает на симуляторе VCS)

Я пытаюсь сделать

File=$fopen("$PATH/FileName","r");

$ PATH - это переменная окружения.

Ответы на вопрос(3)

Ваш ответ на вопрос