Что такое `+:` и `-:`?

Я недавно видел этот оператор в коде verilog / systemverilog.

logic [15:0] down_vect;
logic [0:15] up_vect;

down_vect[lsb_base_expr +: width_expr]
up_vect  [msb_base_expr +: width_expr]
down_vect[msb_base_expr -: width_expr]
up_vect  [lsb_base_expr -: width_expr]

Я редко видел это, поэтому я хотел бы спросить, что это, когда и как вы используете?

Ответы на вопрос(2)

Ваш ответ на вопрос