Wyniki wyszukiwania dla "system-verilog"

1 odpowiedź

Łączenie hierarchicznych modułów: struct vs interface w SystemVerilog

1 odpowiedź

rozmiar portu nie pasuje do rozmiaru połączenia

Napisałem kodAlu.v

2 odpowiedź

Jak zdefiniować i zainicjować wektor zawierający tylko te w Verilog?

Jeśli chcę zadeklarować 128-bitowy wektor wszystkich tych, która z tych metod jest zawsze poprawna?

2 odpowiedź

Verilog wielu kierowców

1 odpowiedź

Przesunięcie arytmetyczne działa jak przesunięcie logiczne, niezależnie od podpisanej zmiennej

Mam rejestr zadeklarowany jako taki:

2 odpowiedź

Verilog: Jak utworzyć instancję modułu

Jeśli mam moduł „górny” modułu Verilog i „podskładnik” modułu Verilog, w jaki sposób mogę utworzyć instancję podkomponentu na górze?Top:

2 odpowiedź

Testowanie szeregowe i twierdzenia z System-Verilog

3 odpowiedź

Jak zdefiniować sparametryzowany multiplekser za pomocą SystemVerilog

Próbuję utworzyć moduł, który przełącza x pakiety danych wejściowych na pojedynczy pakiet wyjściowy zgodnie z jednym gorącym wejściem.Jeśli x miałoby stałą w...

2 odpowiedź

Co to jest `+:` i `-:`?

2 odpowiedź

Najlepszy sposób na dostęp do uvm_config_db z testbench?

Chcę utworzyć zegar w moim testbench najwyższego poziomu, którego okres można kontrolować za pomocą testu. To, co zrobiłem, to ustawienie okresu na uvm_confi...