Suchergebnisse für Anfrage "system-verilog"

3 die antwort

Wie lese ich eine Umgebungsvariable in Verilog / System Verilog?

Wie lese ich eine Umgebungsvariable in Verilog? (Laufen auf einem VCS-Simulator)Ich versuche es zu erreichen

2 die antwort

Wie übergebe ich einen Variablenwert an ein Makro in SystemVerilog?

3 die antwort

Wie emuliert man $ display mit Verilog-Makros?

TOP-Veröffentlichungen

1 die antwort

Die Portgröße stimmt nicht mit der Verbindungsgröße überein

Ich habe den Code geschriebenAlu.v

6 die antwort

VHDL / Verilog bezogene Programmierforen? [geschlossen

Hardware-Design mit VHDL oder Verilog ähnelt heutzutage eher der Programmierung. Ich sehe jedoch, dass SO-Mitglieder nicht so aktiv über VHDL / Verilog-Programmierung sprechen. Gibt es ein Forum zum Thema Hardware-Design mit Verilog / VHDL / ...

3 die antwort

System Verilog-Parameter im Erzeugungsblock

Ich möchte einen Parameter basierend auf einem Parameter festlegen, der festgelegt wird, wenn das Modul instanziiert wird. Ich habe folgendes.

2 die antwort

Wie verwende ich Clock Gating in RTL?

Ich schalte einiges einverriegelnund Logik in meinem Design. Ich habe nicht viel Erfahrung in Synthese und Ort & Route. Was ist der richtige Weg, um Clock Gating in RTL zu implementieren? Beispiel 1: always_comb begin gated_clk = clk & ...

2 die antwort

Verilog: So instanziieren Sie ein Modul

Wenn ich ein Verilog-Modul "top" und ein Verilog-Modul "subcomponent" habe, wie kann ich eine Unterkomponente in top instanziieren?oben:

6 die antwort

Wie binde ich Systemverilog Interpreter mit DPI-C ein?

Problembeschreibun: Ich entwerfe in SystemVerilog und schreibe die Testbenches in derselben Sprache. Ich möchte in der Lage sein, mein Design zu kompilieren und verschiedene Funktionen während der Simulation zu testen, so wie Sie es mit einem ...

2 die antwort

Wie definiere und initialisiere ich in Verilog einen Vektor, der nur einen enthält?

Wenn ich einen 128-Bit-Vektor von allen deklarieren möchte, welche dieser Methoden ist immer korrekt?