Результаты поиска по запросу "synthesis"

6 ответов

Конвертировать Mat в Array / Vector в OpenCV

Я новичок в OpenCV. Недавно у меня возникли проблемы с поиском функций OpenCV для преобразования из Mat в Array. Я исследовал методы .ptr и .at, доступные в ...

2 ответа

 это будет работать нормально ... Это не создаст никаких проблем.

рий У меня есть ситуация, когда базовый класс называетсяAbstractRequest имеет делегатное свойство типаid <AbstractRequestDelegate> объявлено в заголовочном файле: @property (nonatomic, assign) id <AbstractRequestDelegate> ...

7 ответов

Генерация звука / синтез с питоном?

Можно ли заставить Python генерировать простой звук, похожий на синусоидальную волну? Для этого есть модуль? Если нет, как бы вы занялись созданием своего? Кроме того, нужна ли вам какая-то среда хоста для запуска Python для воспроизведения ...

ТОП публикаций

2 ответа

Синтез VHDL - FF / значение константы защелки

Я пытаюсь синтезировать модуль VHDL, который я написал.Код ниже:

2 ответа

Предупреждение о синтезе VHDL FF / Latch имеет постоянное значение 0

Я испытываю некоторый код, который по существу включает в себя использование ПЛИС и считывание значений с датчика температуры. Код ниже:

4 ответа

отчет («Битовая ширина myInteger is» & integer'image (myInteger'left - myInteger'Right));

м, мне нужен сигнал для представления чисел от 0 до 5; очевидно, что для этого нужно 3 бита std_logic (т.е. если MAXVAL = 5, то битовая ширина = {wcalc "floor(logtwo($MAXVAL))+1"}). Я знаю, что мог бы сделать: SIGNAL myLogicVector : ...

3 ответа

Как НЕ использовать циклы while () в verilog (для синтеза)?

У меня есть привычка разрабатывать множество тестовых программ и использовать циклы for () и while () для целей тестирования. Все в порядке. Проблема в том, ...

2 ответа

Синтез VHDL - FF / значение константы защелки

Я пытаюсь синтезировать модуль VHDL, который я написал. Код ниже: library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values ...