Ошибка (10028): не удается разрешить несколько постоянных драйверов для сети… ОШИБКА VHDL

Я пытаюсь написать код, который обнаружит нарастающий фронт по сигналу din и повысит dout на 5 тактов после того, как это произойдет. Я продолжаю получать разные ошибки при компиляции иЯ не уверен, что они имеют в виду. Я думаю, что мне не хватает базового понимания некоторых концепций в VHDL, но, к сожалению, я смотрю онлайн и не сильно мне помог. Я до сих пор неЯ не понимаю, какие действия приемлемы для программного обеспечения.

В моем коде у меня в настоящее время есть детектор нарастания фронта на 1-м процессе, который повышает логический максимум. Второй процесс проверяет, является ли dout высоким, и, в то время как так, ведет обратный отсчет от 5 до 0, а при 0 устанавливает для dout низкий логический уровень.

Это не компилирует и возвращает следующие ошибки:

Ошибка (10028): Can 'Разрешить несколько постоянных драйверов для сети "кол [2]» atrise_ext.vhd (31)

Ошибка (10029): постоянный драйвер в rise_ext.vhd (17)

Ошибка (10028): Can 'Разрешить несколько постоянных драйверов для сети "кол [1]» atrise_ext.vhd (31)

Ошибка (10028): Can 'Разрешить несколько постоянных драйверов для сети "кол [0]» atrise_ext.vhd (31)

Ошибка (10028): Can 'Разрешить несколько постоянных драйверов для сети "DOUT» atrise_ext.vhd (31)

Ошибка (10029): постоянный драйвер в rise_ext.vhd (19)

Ошибка (12153): Can 't разработать иерархию пользователей верхнего уровня

Ошибка: 32-разрядный анализ Quartus II и Синтез оказался неудачным. 7 ошибок, 2 предупреждения Ошибка: пиковая виртуальная память: 326 мегабайт Ошибка: обработка завершена: сб 11 января 13:13:38 2014 Ошибка: истекшее время: 00:00:04 Ошибка: общее время ЦП (на всех процессорах): 00: 00:02

Ошибка (293001): полная компиляция Quartus II не удалась. 9 ошибок, 2 предупреждения

    entity rise_ext is
    port ( clk:    in  bit ;
           resetN: in  bit ;
           din:    in  bit ;
           count:  buffer integer range 0 to 6 ;
           dout:   buffer bit ) ;
end rise_ext ;

architecture arc_rise_ext of rise_ext is
    signal s1 , s2 : bit ;
begin
    process ( resetN, clk )
    begin
        if resetN = '0' then
           dout 

Ответы на вопрос(2)

Ваш ответ на вопрос