Resultados de la búsqueda a petición "vhdl"

2 la respuesta

firmado con std_logic_vector, resultados de corte

Necesito tomar el valor absoluto de un resultado y solo estoy interesado en los bits más significativos. Esto es lo que he hecho: data_ram_h <= std_logic_vector(abs(signed(resize(r4(calc_cnt - 2), data_ram_h'length) + r4(calc_cnt - 1) + ...

2 la respuesta

Usando la matriz de std_logic_vector como un tipo de puerto, con ambos rangos usando un genérico

¿Es posible crear una entidad con un puerto que sea una matriz de std_logic_vectors, tanto el tamaño de la matriz como el std_logic_vector provienen de genéricos? Es decir. ¿Es posible crear, por ejemplo. ¿Un multiplexor de bus con el ancho de ...

15 la respuesta

IDE VHDL profesional? [cerrado]

¿Hay un buen IDE para trabajar con proyectos VHDL? ¿O la mayoría de los profesionales trabajan con emacs / vim / notepad ++?

1 la respuesta

Error de sintaxis de VHDL

En mi código, tengo las siguientes líneas: architecture Behavioral of L6 is begin with SEL select Z <= '1111110' when '0000', '00110000' when '0001', '1111011' when '1001';Hay un error de sintaxis "cerca de" (la primera línea con una comilla ...

1 la respuesta

¿Cómo hacer una simple simulación Aldec Active-HDL con forma de onda usando secuencias de comandos Tcl?

Tener un banco de pruebas simple como: entity tb is end entity; architecture syn of tb is signal show : boolean; begin show <= TRUE after 10 ns; end architecture;ModelSim GUI permite la simulación y la visualización de formas de onda con un ...

4 la respuesta

Máquina de estado VHDL con varios retrasos: ¿el mejor enfoque?

Esta es una pregunta genérica que me ha molestado desde que pude entender los conceptos básicos de una máquina de estados finitos. Supongamos que tengo cuatro estados s0 - s3, donde el FSM se iniciará automáticamente en 's0' después de aplicar la ...

3 la respuesta

¿Foros de programación relacionados con VHDL / Verilog? [cerrado]

El diseño de hardware con VHDL o Verilog se parece más a la programación hoy en día. Sin embargo, veo que los miembros de SO no están hablando tan activamente sobre la programación VHDL / Verilog. ¿Hay algún foro que se ocupe del diseño de ...

2 la respuesta

VHDL: rebote de botón dentro de una máquina de estado Mealy

Hola, estoy tratando de implementar una máquina harinosa usando VHDL, pero tendré que eliminar el botón presionando. Mi problema es que no estoy seguro de dónde debo implementar la eliminación de rebotes. Mi trabajo actual es así: process(clk) ...

2 la respuesta

ejecución secuencial en la declaración de proceso en vhdl

para la declaración de proceso en vhdl, se dice que el orden de ejecución dentro de una declaración de proceso es secuencial. Mi pregunta es que, primero mire el código a continuación, ¿se asignan las señales a, byc a sus nuevos valores de manera ...

2 la respuesta

no declaraciones de funciones para el operador

Recibo este mensaje de error: testbench.vhd: 16: 22: no hay declaraciones de funciones para el operador "+" en esta línea: Z <= unsigned(X) + resize(unsigned(Y),X'length);con este código: library IEEE; use IEEE.std_logic_1164.all; use ...