Resultados de la búsqueda a petición "active-hdl"

1 la respuesta

¿Cómo hacer una simple simulación Aldec Active-HDL con forma de onda usando secuencias de comandos Tcl?

Tener un banco de pruebas simple como: entity tb is end entity; architecture syn of tb is signal show : boolean; begin show <= TRUE after 10 ns; end architecture;ModelSim GUI permite la simulación y la visualización de formas de onda con un ...