Resultados de la búsqueda a petición "verilog"

2 la respuesta

Verilog: ¿es posible hacer una instanciación indexada?

Tengo un archivo, que es algo similar a module AB(A,B,Out); input A,B; output Out; wire Out; assign Out = A & B; endmodule Necesito usar N número de este cálculo. es decir, tengo a = 1001; b = 0001, necesito hacer algo como Y bit a bit, y tengo ...

6 la respuesta

¿Cómo interpretar las tareas de bloqueo vs no bloqueo en Verilog?

Estoy un poco confundido acerca de cómo se interpretan las tareas de bloqueo y no bloqueo cuando se trata de dibujar un diagrama de hardware. ¿Tenemos que inferir que una asignación sin bloqueo nos da un registro? Entonces de acuerdo con esta ...

1 la respuesta

Verilog muestras firmadas vs no firmadas y primero

Suponiendo que tengo un registroreg [15:0] my_reg, que contiene un bit de 16 firmadomuestra: ¿Cómo convierto la muestra de firmado a no firmado? he leídoeste artículo de Wikipedia [http://en.wikipedia.org/wiki/Signed_number_representations], ...

2 la respuesta

¿Cómo defino un módulo con un parámetro en Verilog?

Quiero definir unaadd módulo que tiene un parámetro, pero mi declaración de la nueva instancia no funciona bien. Quiero definir una instancia de este módulo: module add #(parameter wd=1) (input wire [wd-1:0] a,b, output wire [wd-1:0] ...

2 la respuesta

Matriz de parámetros en Verilog

¿Es posible crear una matriz de parámetros en verilog? Por ejemplo, algo como lo siguiente: parameter[TOTAL-1 : 0] PARAM_ARRAY = {1, 0, 0, 2}Si no es posible, ¿cuál podría ser la solución alternativa? Gracias por adelantado

2 la respuesta

¿Alguien puede ayudarme a crear un banco de pruebas Verilog?

¿Alguien puede ayudarme a escribir un banco de pruebas o simplemente el código de entrada para mi siguiente código? Como no tengo ideas de cómo escribir el b...

2 la respuesta

ADDRESS WIDTH de RAM DEPTH

Estoy implementando una DPRAM configurable donde la PROFUNDIDAD DE RAM es el parámetro. ¿Cómo determinar el ANCHO DE DIRECCIÓN a partir de la PROFUNDIDAD DE RAM? Conozco la relación PROFUNDIDAD DE RAM = 2 ^ (ANCHO DE DIRECCIÓN) es decir, ...

1 la respuesta

¿Uso de alambre dentro de un bloque siempre?

3 la respuesta

Verilog bit cambiar ubicación

Suponiendo que tengo un registroreg [15:0] my_reg, que contiene un bit de 16 firmadomuestra: ¿Cómo puedo encontrar el lugar donde se encuentra el primer cambio de bit? Es decir, que si se supone quemy_reg = 16'b0001011011010111, ¿cómo puedo ...

1 la respuesta

¿A qué sigue siempre el significado de # (...) libras en Verilog?

Estoy tratando de aprender Verilog, y en un simple ejemplo de generador de reloj, veo el siguiente código: