¿Cómo hacer una simple simulación Aldec Active-HDL con forma de onda usando secuencias de comandos Tcl?

Tener un banco de pruebas simple como:

entity tb is
end entity;

architecture syn of tb is
  signal show : boolean;
begin
  show <= TRUE after 10 ns;
end architecture;

ModelSim GUI permite la simulación y la visualización de formas de onda con un script Tcl en "all.do" con:

vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns 

A dondedo all.do en la consola de ModelSim GUI creará una biblioteca, compilará, cargará el modelo tb y mostrará la forma de onda:

¿Cómo hacer un script Tcl simple similar para una simulación similar con el simulador Aldec Active-HDL?

Respuestas a la pregunta(1)

Su respuesta a la pregunta