Resultados de la búsqueda a petición "systemc"

3 la respuesta

¿Foros de programación relacionados con VHDL / Verilog? [cerrado]

El diseño de hardware con VHDL o Verilog se parece más a la programación hoy en día. Sin embargo, veo que los miembros de SO no están hablando tan activamente sobre la programación VHDL / Verilog. ¿Hay algún foro que se ocupe del diseño de ...

3 la respuesta

¿Cómo usar e instalar SystemC en el terminal mac OS X?

cómo usar e instalarSystemCen terminal mac OS X? Intenté la aplicación Logic poet, pero uso os x 10, .10, por lo que no funciona. así que quiero saber cómo puedo compilar y ejecutar SystemC en la terminal. No pude encontrar el detalle de SystemC ...

1 la respuesta

Ejecución de pruebas unitarias de Boost en diferentes procesos

Quiero hacer pruebas unitarias en un programa SystemC. La idea es tener múltiples conjuntos de pruebas con varias pruebas en cada conjunto. Cada una de las pruebas requeriría restablecer el marco de SystemC (por ...