Результаты поиска по запросу "system-verilog"

2 ответа

Verilog: как создать экземпляр модуля

1 ответ

размер порта не соответствует размеру соединения

1 ответ

Устранение неиспользуемых битов: создание синтезируемых многомерных массивов с различными измерениями

Это дополнительный вопрос отКак я могу итеративно создавать шины параметризованного размера для соединения итеративно созданных модулей?, Ответ слишком сложе...

ТОП публикаций

2 ответа

Verilog несколько драйверов

Я пытаюсь создать счетчик BCD с помощью Verilog, который будет подключен к 7-сегментному декодеру.После того, как я его синтезирую, произошла ошибка:

1 ответ

Подключение иерархических модулей: структура против интерфейса в SystemVerilog

2 ответа

Последовательное тестирование и утверждение с помощью System-Verilog

У меня есть последовательный вывод модуля Verilog, который я хотел бы протестировать с помощью системы Verilog. Вывод, называемый «SO», будет выводить что-то вроде 8'hC6, учитывая правильный последовательный вход «SI» со значением, скажем, ...

3 ответа

Параметры системы Verilog в блоке генерации

Я хотел бы установить параметр на основе параметра, который устанавливается при создании экземпляра модуля. У меня есть следующее. module foo #(WORDS = 8); parameter P00 = 33; logic [7:0] tmp; generate case (WORDS) 4: begin : A assign tmp = ...

4 ответа

Ширина независимые функции

2 ответа

Использование burst_read / write с моделью регистра

1 ответ

Передаются ли массивы SystemVerilog по значению или по ссылке?

По умолчанию SystemVerilog передает массивы по значению или по ссылке?Например: