Результаты поиска по запросу "verilog"

1 ответ

что означает 3'bzzz в verilog?

У меня есть следующий код, но я не знаю, что

1 ответ

Использование непрерывного назначения в процедуре Verilog?

Возможно ли и / или полезно ли когда-либо использовать непрерывное назначение в процедуре Verilog? Например, будет ли когда-либо

1 ответ

Verilog подписал против неподписанных образцов и первым

Предполагая, что у меня есть регистр

ТОП публикаций

1 ответ

Передача параметров в модули Verilog

Я нахожусь в процессе написания некоторых модулей Verilog для дизайна FPGA. Я посмотрел в интернете, чтобы узнать, как лучше всего параметризовать свои модул...

1 ответ

Умножение путем суммирования степенных рядов с отрицательными членами

Как вычислить мультипликатор с плавающей запятой в Verilog? До сих пор я обычно использую shift << 1024, затем число с плавающей точкой становится целы...

1 ответ

как я могу применить фиксированную дробь к целому числу

Я хотел бы умножить на целое с измененной дробью следующим образом(Умножение путем суммирования степенных рядов с отрицательными членами)Я сделал то, что най...

1 ответ

Верилог: «… не константа»

1 ответ

Ошибка при использовании всегда блока в Verilog

У меня есть модуль

1 ответ

Непостоянная индексация для логического утверждения в системверилоге

Я пытаюсь создать цикл for, который присваивает различные значения логическому массиву с учетом итерации цикла.Например, предположим, я пытаюсь создать два р...

1 ответ

Как инициализировать содержимое предполагаемого блока RAM (BRAM) в Verilog

У меня возникли проблемы с инициализацией содержимого предполагаемого барана в Verilog. Код для оперативной памяти, как показано ниже: