Результаты поиска по запросу "hdl"

3 ответа

Как исправить этот нерекурсивный алгоритм сортировки нечетных и четных слияний?

3 ответа

В чем разница между рег и провод в модуле Verilog

В чем разница между рег и проводом? Когда мы должны использовать reg и когда мы должны использовать провод в модуле verilog. Я также иногда замечал, что выво...

1 ответ

Verilog подписал против неподписанных образцов и первым

Предполагая, что у меня есть регистр

ТОП публикаций

2 ответа

Массив параметров в Verilog

Можно ли создать массив параметров в Verilog? Например, что-нибудь вроде следующего:

2 ответа

verilog количество единиц в массиве

Я пытаюсь узнать количество единиц в 4-битном двоичном числе в Verilog, но ничего не происходит. Я пробовал несколько подходов, это тот, который я думаю, должен работать, но это не так. module ones(one,in); input [3:0]in; output [1:0]one; assign ...

2 ответа

verilog количество единиц в массиве

3 ответа

Условная реализация модуля verilog

Можно ли создать экземпляр модуля условно в verliog? пример : if (en==1) then module1 instantiation else module2 instantiation

3 ответа

Условная реализация модуля verilog

3 ответа

Как реализовать (псевдо) аппаратный генератор случайных чисел

Как вы реализуете аппаратный генератор случайных чисел в HDL (verilog)? Какие варианты нужно рассмотреть? Этот вопрос следует засамостоятельно ответ [https://stackoverflow.com/help/self-answer]формат. Дополнение ответы и обновления приветствуются.

3 ответа

Как реализовать (псевдо) аппаратный генератор случайных чисел

Как вы реализуете аппаратный генератор случайных чисел в HDL (verilog)?Какие варианты нужно рассмотреть?Этот вопрос следует засамостоятельно ответ формат. До...