Массив параметров в Verilog

Можно ли создать массив параметров в Verilog? Например, что-нибудь вроде следующего:

parameter[TOTAL-1 : 0] PARAM_ARRAY = {1, 0, 0, 2}

Если это невозможно, каким может быть альтернативное решение?

заранее спасибо

Ответы на вопрос(2)

Ваш ответ на вопрос