Wyniki wyszukiwania dla "verilog"

1 odpowiedź

Przesunięcie arytmetyczne działa jak przesunięcie logiczne, niezależnie od podpisanej zmiennej

Mam rejestr zadeklarowany jako taki:

1 odpowiedź

Wykorzystanie drutu wewnątrz bloku zawsze?

1 odpowiedź

Po czym zawsze następuje # (…) funt w Verilog?

Próbuję nauczyć się Veriloga, aw prostym przykładzie generatora zegara widzę następujący kod:

1 odpowiedź

Jak przekazać strukturę tablicową między dwoma modułami Verilog

1 odpowiedź

Szczegóły implementacji sprzętu dzielącego zmiennoprzecinkowego

1 odpowiedź

Dołącz moduł w Verilog

Chcę dołączyć moduł verilog do innego pliku. W jaki sposób mogę dołączyć go do kodu i jak skompilować kod tak, aby zawierał plik nagłówkowy? Czy to jest jak w?

1 odpowiedź

rozmiar portu nie pasuje do rozmiaru połączenia

Napisałem kodAlu.v

1 odpowiedź

Eliminowanie nieużywanych bitów: tworzenie syntezowalnych wielowymiarowych tablic o różnych wymiarach

2 odpowiedź

Verilog, FPGA, wykorzystanie rejestru jednostkowego

Mam pytanie dotyczące tego, co wydaje mi się dziwne zachowanie kontrolera AGC / SPI, nad którym pracuję. Zostało to zrobione w Verilog, a jego celem jest FPG...

2 odpowiedź

Jak uzyskać standard języka Verilog?