Resultados de la búsqueda a petición "vhdl"

3 la respuesta

Convertir número binario de 8 bits a BCD en VHDL

El algoritmo es bien conocido, haces 8 turnos a la izquierda y compruebas las unidades, decenas o cientos de bits (4 cada una) después de cada turno. Si están por encima de 4, agrega 3 al grupo y así sucesivamente ... Aquí hay una solución ...

3 la respuesta

Agregar biblioteca al proyecto VHDL

Estoy tratando de usar números de punto fijo en mi proyecto VHDL, pero sigo teniendo problemas para implementar la biblioteca (que se encuentra aquí http://www.eda-stds.org/fphdl/fixed_pkg_c.vhdl [http://www.eda-stds.org/fphdl/fixed_pkg_c.vhdl]) ...

2 la respuesta

VHDL: no se puede leer el estado de salida

Estoy intentando compilar en ModelSim 10.0 y recibo un error de compilación que dice: 'No se puede leer el estado de salida'. Aquí hay un fragmento del código. Sería genial si alguien pudiera decirme qué estoy haciendo mal. entity ...

2 la respuesta

código vhdl (para bucle)

Descripción: quiero escribir código vhdl que encuentre el número entero más grande en la matriz A, que es una matriz de 20 enteros. Pregunta: ¿Cómo debería ser mi algoritmo para ingresar dónde están las declaraciones secuenciales? mi código ...

4 la respuesta

Verilog / VHDL - ¿Cómo evitar restablecer los registros de datos dentro de un solo bloque siempre?

2 la respuesta

Error (10028): No se pueden resolver varios controladores constantes para la red ... ERROR VHDL

Estoy tratando de escribir un código que detectará un flanco ascendente en la señal din y aumentará el dout durante 5 ciclos de reloj después de que eso suce...

2 la respuesta

cambio de señal dentro de un proceso con sentencia if - VHDL

Tengo este código en VHDL. Lo que quiero es levantarme primero cuando hay un evento y luego, el primero es caer por sí mismo. Pero cuando simulo esto, ¡lo pr...

2 la respuesta

Haciendo un divisor de reloj

2 la respuesta

Compilando * .vhdl en una biblioteca, usando Altera Quartus II

Estoy tratando de usar 'Paquete de punto flotante y punto fijo' como parte de mi diseño de filtro en VHDL. Estoy utilizando Altera Quartus II como en...

2 la respuesta

¿Es la asignación de señal (concurrente) dentro de una declaración de proceso secuencial o concurrente?

Por lo que entiendo, todas las declaraciones dentro de un PROCESO se ejecutan secuencialmente. Entonces, ¿qué sucede con una asignación de señal concurrente ...