Compilando * .vhdl en una biblioteca, usando Altera Quartus II

Estoy tratando de usar 'Paquete de punto flotante y punto fijo' como parte de mi diseño de filtro en VHDL. Estoy utilizando Altera Quartus II como entorno de desarrollo. Descargué el paquete de archivos del sitio web:http://www.vhdl.org/fphdl/, ahora disponible enhttp://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/

En su guía de usuario, a continuación se menciona:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

Sin embargo, no sé exactamente cómo puedo compilar los archivos * .vhdl mencionados en una biblioteca utilizando la herramienta Altera Quartus II.

Cualquier consejo sería apreciado.

Respuestas a la pregunta(2)

Su respuesta a la pregunta