Resultados de la búsqueda a petición "quartus"

2 la respuesta

Compilando * .vhdl en una biblioteca, usando Altera Quartus II

Estoy tratando de usar 'Paquete de punto flotante y punto fijo' como parte de mi diseño de filtro en VHDL. Estoy utilizando Altera Quartus II como en...

1 la respuesta

Error de ModelSim-Altera

Estoy usando Ubuntu Linux 14.04 LTS con Altera Quartus 15.0 web-edition y estoy teniendo dificultades para simular mi diseño debido a errores de licencia. Estoy diseñando un LCD_driver para elVEEK-MT [http://goo.gl/QFxON0]'s pantalla táctil LCD ...

3 la respuesta

Altera Quartus Error (12007): la entidad de diseño de nivel superior "alt_ex_1" no está definida

He examinado todas las preguntas anteriores y nadie parece tener un problema tan simple como el mío. También he buscado en la web y no puedo encontrar una solución. Soy nuevo en VHDL y estoy tratando de compilar el ejemplo simple ...