Результаты поиска по запросу "vhdl"

2 ответа

VHDL-код (для цикла)

Описание: Я хочу написать код VHDL, который находит наибольшее целое число в массиве A, который представляет собой массив из 20 целых чисел.Вопрос:как должен...

2 ответа

VHDL: невозможно прочитать состояние вывода

Я пытаюсь скомпилировать в ModelSim 10.0, и я получаю сообщение об ошибке: «Не могу прочитать состояние вывода».Вот фрагмент кода. Было бы замечательно, если...

3 ответа

Добавление библиотеки в проект VHDL

Я пытаюсь использовать числа с фиксированной запятой в своем проекте VHDL, но у меня возникают проблемы при реализации библиотеки (находится здесьhttp://www....

ТОП публикаций

3 ответа

Конвертировать 8-битное двоичное число в BCD в VHDL

3 ответа

Есть ли способ использовать один испытательный стенд для разных симуляторов, если оба симулятора нуждаются в использовании своих собственных пакетов?

4 ответа

Как преобразовать 8 бит в 16 бит в VHDL?

У меня есть входной сигнал от АЦП 8 бит (std_logic_vector(7 downto 0)). Я должен преобразовать их в 16-битный сигнал (std_logic_vector(15 downto 0)) для обработки 16-битного сигнала в 16-битной системе.

1 ответ

VHDL: таблица истинности в библиотеке ieee std_logic

2 ответа

Разница между операторами mod и rem в VHDL?

Я сталкивался с этими утверждениями в программировании на VHDL и не мог понять разницу между двумя операторами mod и rem.

3 ответа

Altera Quartus Error (12007): сущность проекта верхнего уровня «alt_ex_1» не определена

Я посмотрел на все предыдущие вопросы, и ни у кого, кажется, нет такой простой проблемы, как у меня. Также я искал в Интернете и не могу найти решение.Я нови...

3 ответа

нашел «0» определения оператора «+» в VHDL

Сначала я хочу отметить, что это моя первая попытка использовать VHDL, поэтому будьте добры. Я хочу прочитать входы X1 ... X4 и вывести сумму единиц на выход...