Resultados de la búsqueda a petición "hdl"

3 la respuesta

Cómo implementar un generador de números aleatorios de hardware (pseudo)

¿Cómo implementa un generador de números aleatorios de hardware en un HDL (verilog)?¿Qué opciones hay que considerar?Esta pregunta está siguiendo elrespuesta...

3 la respuesta

¿Cómo arreglar este algoritmo de clasificación no recursivo de pares pares?

Estaba buscando un algoritmo de clasificación impar-par-fusión no recursivo y encontré 2 fuentes: un libro deSedgewick R. ...

2 la respuesta

Matriz de parámetros en Verilog

¿Es posible crear una matriz de parámetros en verilog? Por ejemplo, algo como lo siguiente: parameter[TOTAL-1 : 0] PARAM_ARRAY = {1, 0, 0, 2}Si no es posible, ¿cuál podría ser la solución alternativa? Gracias por adelantado

3 la respuesta

¿Cuál es la diferencia entre reg y wire en un módulo verilog?

¿Cuál es la diferencia entre un registro y un cable? Cuándo se supone que debemos usar reg y cuándo se supone que debemos usar cable en un módulo verilog. También he notado a veces que una salida se declara nuevamente como un registro. Por ...

1 la respuesta

Algoritmo de reconocimiento de palabras holístico en detalle

¿Dónde puedo encontrar los detalles del algoritmo para el reconocimiento holístico de palabras? ¿Necesito construir un sistema OCR simple en hardware (FPGA en realidad), y las revistas científicas parecen tan abstractas? ¿Existe algún código de ...

3 la respuesta

Instanciación condicional del módulo verilog

¿Es posible crear una instancia condicional de un módulo en el verliog?ejemplo:

1 la respuesta

Verilog muestras firmadas vs no firmadas y primero

Suponiendo que tengo un registroreg [15:0] my_reg, que contiene un bit de 16 firmadomuestra: ¿Cómo convierto la muestra de firmado a no firmado? he leídoeste artículo de Wikipedia [http://en.wikipedia.org/wiki/Signed_number_representations], ...

2 la respuesta

número verilog de los que están en la matriz

1 la respuesta

Leer una imagen a FPGA desde la PC y volver

Necesito leer una pequeña imagen (formato tif) de la PC al kit FPGA (ALTERA DE2-70) para procesarla, luego escribirla de nuevo en la PC. No tengo idea de cómo hacerlo en Verilog? ¿Se puede hacer en C? Si es así, ¿cómo puedo combinar mi código C ...