Resultados da pesquisa a pedido "hdl"

1 a resposta

Lendo uma imagem para FPGA do PC e do Back

Preciso ler uma imagem pequena (formato tif) do kit PC para FPGA (ALTERA DE2-70) para processamento e depois gravá-la no PC. Não faço ideia de como fazer isso no Verilog? Isso pode ser feito em C? Nesse caso, como posso combinar meu código C / ...

1 a resposta

Verilog assinado vs amostras não assinadas e primeiro

Supondo que eu tenha um registroreg [15:0] my_reg, que contém um arquivo de 16 bitsassinadoamostra: Como converter a amostra de assinado para não assinado? eu lieste artigo da Wikipedia ...

3 a resposta

Qual é a diferença entre reg e wire em um módulo verilog

Qual é a diferença entre um registro e um fio? Quando devemos usar reg e quando devemos usar wire em um módulo verilog. Também notei às vezes que uma saída é declarada novamente como um registro. Por exemplo, reg Q em um flip-flop em D. Eu li ...

3 a resposta

Como corrigir esse algoritmo de classificação ímpar-par-mesclagem não-recursivo?

Eu estava procurando por um algoritmo de classificação ímpar-par-ímpar não recursivo e encontrei 2 fontes: um livro deSedgewick R. ...

3 a resposta

Instanciação condicional do módulo verilog

É possível instanciar um módulo condicionalmente em verliog?exemplo:

1 a resposta

Algoritmo de reconhecimento holístico de palavras em detalhes

Onde posso encontrar detalhes do algoritmo para o reconhecimento holístico de palavras? Preciso construir um sistema simples de OCR em hardware (FPGAs, na verdade), e as revistas científicas parecem tão abstratas? Existem códigos de código ...

3 a resposta

Como implementar um (pseudo) gerador de números aleatórios de hardware

2 a resposta

número de verilog de uns no array

2 a resposta

Matriz de parâmetros em Verilog

É possível criar uma matriz de parâmetros no verilog? Por exemplo, algo como o seguinte: parameter[TOTAL-1 : 0] PARAM_ARRAY = {1, 0, 0, 2}Se não for possível, qual poderia ser a solução alternativa? desde já, obrigado