Suchergebnisse für Anfrage "vlsi"

3 die antwort

tcl text processing - ordnet Werte in Zeilen und Spalten basierend auf benutzerdefinierten Werten neu an

Ich bin neu bei tcl und möchte es in der Textverarbeitung eines einfachen Falls verwenden. Das folgende Format befindet sich in Liberty (.lib-Datei), das für das Chipdesign verwendet wird. Ich wäre wirklich für jede Hilfe in dieser Hinsicht ...

2 die antwort

Wie verwende ich Clock Gating in RTL?

Ich schalte einiges einverriegelnund Logik in meinem Design. Ich habe nicht viel Erfahrung in Synthese und Ort & Route. Was ist der richtige Weg, um Clock Gating in RTL zu implementieren? Beispiel 1: always_comb begin gated_clk = clk & ...

4 die antwort

Multiplikation durch Potenzreihensummierung mit negativen Termen

Wie kann ich in Verilog einen Gleitkomma-Multiplikanden berechnen? Bisher benutze ich normalerweise shift << 1024, dann wird die Gleitkommazahl zur Ganzzahl. Dann führe ich einige Operationen durch und dann >> 1024, um wieder einen Bruch zu ...

TOP-Veröffentlichungen

2 die antwort

wie kann ich einen festen Bruch auf die ganze Zahl @ anwende

Ich möchte mit einem modifizierten Bruch wie folgt auf eine ganze Zahl multiplizieren: (Multiplikation durch Potenzreihensummierung mit negativen ...