Suchergebnisse für Anfrage "fpga"

1 die antwort

Verwenden Sie eine fortlaufende Zuweisung in einem Verilog-Verfahren?

Ist es möglich und / oder sinnvoll, jemals eine fortlaufende Zuordnung in einem Verilog-Verfahren zu verwenden? Zum Beispiel würde es jemals einen Grund geben, eineassign innerhalb einesalways Block? Zum Beispiel dieser Code: always @(*) begin ...

32 die antwort

CUDA vs FPGA?

Ich entwickle ein Produkt mit umfangreichen 3D-Grafikberechnungen.zu einem großen Teil nahegelegene Punkt- und Entfernungssuchen. Einige Hardwareoptimierungen wären hilfreich. Während ich wenig darüber weiß, befürwortet mein Chef (der keine ...

4 die antwort

Multiplikation durch Potenzreihensummierung mit negativen Termen

Wie kann ich in Verilog einen Gleitkomma-Multiplikanden berechnen? Bisher benutze ich normalerweise shift << 1024, dann wird die Gleitkommazahl zur Ganzzahl. Dann führe ich einige Operationen durch und dann >> 1024, um wieder einen Bruch zu ...

TOP-Veröffentlichungen

4 die antwort

Wie generiert man eine Pseudozufallszahl im FPGA?

Wie generiert man eine Pseudozufallszahl im FPGA?

3 die antwort

Hinzufügen einer Bibliothek zum VHDL-Projekt

Ich versuche, Festkommazahlen in meinem VHDL-Projekt zu verwenden, habe jedoch weiterhin Probleme, die Bibliothek zu implementieren (hier zu finden) http://www.eda-stds.org/fphdl/fixed_pkg_c.vhdl [http://www.eda-stds.org/fphdl/fixed_pkg_c.vhdl]). ...

2 die antwort

Verilog, FPGA, Verwendung eines einheitlichen Registers

2 die antwort

Parameter an Verilog-Module übergeben

Ich bin gerade dabei, einige Verilog-Module für ein FPGA-Design zu schreiben. Ich habe mich im Internet umgesehen, um herauszufinden, wie ich meine Module am besten parametriere. Ich sehe zwei verschiedene Methoden, die häufig vorkommen. Ich habe ...

6 die antwort

So initialisieren Sie den Inhalt des abgeleiteten Block-RAM (BRAM) in Verilog

Ich habe Probleme beim Initialisieren des Inhalts eines abgeleiteten Arbeitsspeichers in Verilog. Der Code für den Widder lautet wie folgt: module ram( input clock, // System clock input we, // When high RAM sets data in input lines to given ...

3 die antwort

Wie kann der Linux-Kernel gezwungen werden, den PCI-e-Bus aufzulisten?

8 die antwort

Fehler beim Hinzufügen von std_logic_vector, s

Ich möchte ein einfaches Modul haben, das zwei std_logic_vectors hinzufügt. Wenn Sie jedoch den folgenden Code mit dem Operator + verwenden, wird keine Synthetisierung durchgeführt. library IEEE; use IEEE.std_logic_1164.all; use ...