Multiplikation durch Potenzreihensummierung mit negativen Termen

Wie kann ich in Verilog einen Gleitkomma-Multiplikanden berechnen? Bisher benutze ich normalerweise shift << 1024, dann wird die Gleitkommazahl zur Ganzzahl. Dann führe ich einige Operationen durch und dann >> 1024, um wieder einen Bruch zu erhalten.

Zum Beispiel 0,3545 = 2 ^ -2 + 2 ^ -4 + ...

Ich habe eine Frage zu einem anderen Weg. Ich weiß nicht, woher das Minuszeichen (-) kommt:

0,46194 = 2 ^ -1 - 2 ^ -5 - 2 ^ -7 + 2 ^ -10.

Ich habe gerade das von jemandem geschaut. aber wie du meinst, ist das so dargestellt

0,46194 = 2 ^ -2 + 2 ^ -3 + 2 ^ -4 + 2 ^ -6 + 2 ^ -, 7 + 2 ^ -10 + ...

Ich verstehe nicht, woher weiß es, dass das Minus verwendet wird?

Woher wissen wir, wann das Minus dazu gebraucht wird? Wie kann ich mich auch bei verilog RTL bewerben?

UPDATE: Ich verstehe das Konzept der Verwendung von Minus in Betrieb. Aber gibt es eine andere Möglichkeit, Gleichungen oder Methoden zu erstellen, um den Ausdruck zu reduzieren, was mit der Potenz von 2 multipliziert wird?

UPDATE: Wie können wir diese Methode in Verilog verwenden? Ich habe zum Beispiel 0,46194 = 2 ^ -1 - 2 ^ -5 - 2 ^ -7 + 2 ^ -10 gelehnt. dann wurde dieser code in verilog so geschrieben. 0,011101101 = 'hED =' d237. Die Frage lautet also, wie wir sie auf Anwendungen in Verilog anwenden können.

UPDATE: Sir Würden Sie bitte diesen überprüfen? es gibt ein kleines unterschiedsergebnis.

0,46194 = 0,011101101. Ich habe es einfach so versucht 0.011101101 0.100T10T01 = 2 ^ -1 - 2 ^ -4 + 2 ^ -5 - 2 ^ -7 + 2 ^ -9. = 0,462890625

Etwas anderes. Was mache ich falsch?

Antworten auf die Frage(4)

Ihre Antwort auf die Frage