Suchergebnisse für Anfrage "systemc"

6 die antwort

VHDL / Verilog bezogene Programmierforen? [geschlossen

Hardware-Design mit VHDL oder Verilog ähnelt heutzutage eher der Programmierung. Ich sehe jedoch, dass SO-Mitglieder nicht so aktiv über VHDL / Verilog-Programmierung sprechen. Gibt es ein Forum zum Thema Hardware-Design mit Verilog / VHDL / ...

1 die antwort

Running-Boost-Unit-Tests für verschiedene Prozesse

Ich möchte Unit-Tests in einem SystemC-Programm durchführen. Die Idee ist, mehrere Testsuiten mit mehreren Tests in jeder Suite zu haben. Jeder der Tests würde ein Zurücksetzen des SystemC-Frameworks erfordern (z. B. durch ...

3 die antwort

Wie verwende und installiere ich SystemC in Terminal Mac OS X?

wie benutzt und installiert man SystemC im Terminal Mac OS X? Ich habe die Anwendung Logic Poet ausprobiert, aber ich verwende os x 10, .10, damit es nicht funktioniert. ch möchte wissen, wie ich SystemC im Terminal kompilieren und ausführen ...

TOP-Veröffentlichungen