Когда сигналы назначаются в VHDL?

Учитывая этот код:

architecture synth of my_entity is
    signal a : std_logic;
begin

    a <= c and d;
    b <= a and c;

end synth;

Будет ли вторая линия уважать этоa изменены в другом процессе или все сигналы только в концеархитектура назначены?

Ответы на вопрос(2)

Ваш ответ на вопрос