Verilog бит изменить местоположение

Предполагая, что у меня есть регистрreg [15:0] my_reg, который содержит 16-битныйподписанный образец:

Как я могу найти место, где находится первая битовая замена? Это означает, что если предположить, чтоmy_reg = 16'b0001011011010111откуда я знаю, что первое изменение из0 в1 я сиделаmy_reg [12]? То же самое для номеров, начинающихся с1отрицательные числа, напримерmy_reg = 16'b1111011011010111 был бы заинтересован в положении первого появления0 (который11 в этом случае).

Конечная цель (добавить немного контекста) - реализовать встроенную автоматическую регулировку усиления (AGC) цифровой ПЛИС.

Ответы на вопрос(3)

Ваш ответ на вопрос