Verilog-Bitwechselspeicherort

Vorausgesetzt, ich habe ein Registerreg [15:0] my_reg, die eine 16-Bit enthältunterzeichnet Stichprobe:

Wie finde ich den Ort, an dem sich die erste Bitänderung befindet? Das heißt, wenn man das annimmtmy_reg = 16'b0001011011010111, wie kann ich das beim ersten wechseln ab wissen0 zu1 ist beimy_reg [12]? Gleiches gilt für Zahlen, die mit beginnen1negative Zahlen, z.B.my_reg = 16'b1111011011010111 würde mich für die position des ersten erscheinens interessieren0 (welches ist11 in diesem Fall).

Das ultimative Ziel (um ein wenig Kontext hinzuzufügen) ist die Implementierung eines digitalen FPGA mit integrierter automatischer Verstärkungsregelung (AGC).

Antworten auf die Frage(3)

Ihre Antwort auf die Frage