Resultados da pesquisa a pedido "vhdl"

4 a resposta

Atribuição contínua aparentemente não está funcionando

Estou trabalhando em um filtro FIR, especificamente na linha de atraso.

4 a resposta

Erro ao adicionar std_logic_vector, s

Eu quero ter um módulo simples que adicione dois std_logic_vectors. No entanto, ao usar o código abaixo com o operador +, ele não sintetiza. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity add_module is port( ...

3 a resposta

Confusão entre os modelos de modelos comportamentais e de fluxo de dados em VHDL

2 a resposta

A atribuição de sinal (concorrente) é uma declaração de processo sequencial ou concorrente?

Pelo que entendi, todas as instruções dentro de um processo são executadas seqüencialmente. Então, o que acontece com uma atribuição de sinal simultâneo (&lt...

2 a resposta

Criando uma ALU de 4 bits a partir de várias ALUs de 1 bit

Estou tentando combinar várias ALUs de 1 bit em uma ALU de 4 bits. Estou confuso sobre como realmente fazer isso em VHDL. Aqui está o código para a ALU de 1bit que estou usando: component alu1 -- define the 1 bit alu component port(a, b: ...

2 a resposta

Compilando * .vhdl em uma biblioteca, usando o Altera Quartus II

Eu estou tentando usar 'ponto flutuante e pacote de ponto fixo' como parte do meu design de filtro em VHDL. Eu estou usando o Altera Quartus II como ...

2 a resposta

Fazendo um divisor de relógio

2 a resposta

mude o sinal dentro de um processo com a instrução if - VHDL

Eu tenho esse código em VHDL. O que eu quero é levantar-se primeiro quando o acontecimento e depois, o primeiro é cair por si mesmo. Mas quando eu simular is...

1 a resposta

Como contar teclas pressionadas no quadro espartano FPGA

Estou usando a placa FPGA Spartan 2 e quero contar as teclas pressionadas no teclado. Este é o meu código VHDL: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ...

1 a resposta

Como você implementaria essa lógica digital em Verilog ou VHDL?

Eu postei umresponda [https://stackoverflow.com/questions/480405/finding-the-next-in-round-robin-scheduling-by-bit-twiddling#486480] paraoutra pergunta ...