Resultados da pesquisa a pedido "alu"

1 a resposta

Criando uma ALU de 16 bits usando ALUs de 1 bit

Olá, estou tentando criar uma ALU de 16 bits a partir de várias ALUs de 1 bit. Criei um pacote chamado basic_alu1, que contém um componente da ALU de 1 bit. O código para isso é: library ieee; use ieee.std_logic_1164.all; package basic_alu1 is ...

2 a resposta

Criando uma ALU de 4 bits a partir de várias ALUs de 1 bit

Estou tentando combinar várias ALUs de 1 bit em uma ALU de 4 bits. Estou confuso sobre como realmente fazer isso em VHDL. Aqui está o código para a ALU de 1bit que estou usando: component alu1 -- define the 1 bit alu component port(a, b: ...

4 a resposta

Como a CPU faz a subtração

Tenho algumas dúvidas básicas, mas toda vez que sento para tentar minhas perguntas nas perguntas da entrevista, essas e minhas dúvidas surge Diga A = 5 B = -2 Eu digo A + B. Estou assumindo que A e B são de 4 bytes Como a CPU faz ...