Resultados da pesquisa a pedido "spartan"

1 a resposta

Como contar teclas pressionadas no quadro espartano FPGA

Estou usando a placa FPGA Spartan 2 e quero contar as teclas pressionadas no teclado. Este é o meu código VHDL: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; ...