Como fazer uma simulação simples do Aldec Active-HDL com forma de onda usando script Tcl?

Ter uma bancada de testes simples como:

entity tb is
end entity;

architecture syn of tb is
  signal show : boolean;
begin
  show <= TRUE after 10 ns;
end architecture;

A GUI do ModelSim permite simulação e visualização de formas de onda com um script Tcl em "all.do" com:

vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns 

Para ondedo all.do no console da GUI do ModelSim criará a biblioteca, compilará, carregará o modelo e mostrará a forma de onda:

Como criar um script Tcl simples e semelhante para uma simulação semelhante com o simulador Aldec Active-HDL?

questionAnswers(1)

yourAnswerToTheQuestion