Wie mache ich eine einfache Aldec Active-HDL-Simulation mit Wellenform mithilfe von Tcl-Skripten?

Mit einem einfachen Prüfstand wie:

entity tb is
end entity;

architecture syn of tb is
  signal show : boolean;
begin
  show <= TRUE after 10 ns;
end architecture;

ModelSim GUI ermöglicht die Simulation und Anzeige von Wellenformen mit einem Tcl-Skript in "all.do" mit:

vlib pit
vcom -work pit tb.vhd
vsim pit.tb
add wave sim:/tb/show
run 20 ns 

Wohindo all.do in der ModelSim-GUI-Konsole erstellt eine Bibliothek, kompiliert, lädt ein TB-Modell und zeigt die Wellenform an:

Wie erstelle ich ein ähnliches einfaches Tcl-Skript für eine ähnliche Simulation mit dem Aldec Active-HDL-Simulator?

Antworten auf die Frage(2)

Ihre Antwort auf die Frage