Resultados da pesquisa a pedido "active-hdl"

1 a resposta

Como fazer uma simulação simples do Aldec Active-HDL com forma de onda usando script Tcl?

Ter uma bancada de testes simples como: entity tb is end entity; architecture syn of tb is signal show : boolean; begin show <= TRUE after 10 ns; end architecture;A GUI do ModelSim permite simulação e visualização de formas de onda com um script ...