Resultados de la búsqueda a petición "verilog"

2 la respuesta

¿Qué significan los frenillos en Verilog?

Me está costando entender la siguiente sintaxis en verilog:

4 la respuesta

Verilog / VHDL - ¿Cómo evitar restablecer los registros de datos dentro de un solo bloque siempre?

3 la respuesta

¿Qué es el enganche inferido y cómo se crea cuando falta la instrucción else en condición if. ¿Alguien puede explicar brevemente?

Traté de descubrir el pestillo inferido y por qué es necesario internamente, pero no pude encontrar ningún recurso con suficiente detalle.

4 la respuesta

Asignación de bloqueo de Verilog

Soy algo nuevo en Verilog. Sé que en un proceso de reloj deberíamos usar asignaciones sin bloqueo, y en un proceso sin reloj, usar asignaciones de bloqueo. Me encontré con este código cuando estaba leyendo el código de otra persona. reg ...

4 la respuesta

Ancho de funciones independientes

¿Es posible escribir una función que pueda detectar el ancho de datos de entrada automáticamente? Por ejemplo, considere la siguiente función de paridad: function parity; input [31:0] data; parity = ^ data; endfunction Cuandoparity(data) se ...

1 la respuesta

Los estados en esta máquina FSM están cambiando demasiado rápido debido a un problema con el reloj que actualiza el estado actual

Estoy en el proceso de implementar una máquina de estados finitos en verilog, y he encontrado un problema. Sin embargo, sé cuál es el problema, pero no estoy seguro de cómo solucionarlo. Este es mi código actual: module moore_SM(clk, rstn, btn, ...

2 la respuesta

Matriz de parámetros en Verilog

¿Es posible crear una matriz de parámetros en verilog? Por ejemplo, algo como lo siguiente: parameter[TOTAL-1 : 0] PARAM_ARRAY = {1, 0, 0, 2}Si no es posible, ¿cuál podría ser la solución alternativa? Gracias por adelantado

3 la respuesta

¿Cómo NO usar los bucles while () en verilog (para síntesis)?

Tengo la costumbre de desarrollar muchos bancos de pruebas y usar bucles for () y while () para fines de prueba. Esta bien. El problema es que he adoptado este hábito para codificar circuitos que deberían ser sintetizables. XST y otros se niegan ...

1 la respuesta

¿Usando una asignación continua en un procedimiento Verilog?

¿Es posible y / o útil utilizar una asignación continua en un procedimiento de Verilog? Por ejemplo, ¿habría alguna razón para poner unassign dentro de unalways ¿bloquear? Por ejemplo este código: always @(*) begin assign data_in = Data; ...

1 la respuesta

Verilog muestras firmadas vs no firmadas y primero

Suponiendo que tengo un registroreg [15:0] my_reg, que contiene un bit de 16 firmadomuestra: ¿Cómo convierto la muestra de firmado a no firmado? he leídoeste artículo de Wikipedia [http://en.wikipedia.org/wiki/Signed_number_representations], ...