Resultados da pesquisa a pedido "verilog"

4 a resposta

Como 'atribuir' um valor a um registro de saída no Verilog?

(insira o aviso de questão realmente básico aqui)Mais especificamente, tenho a seguinte declaração:

2 a resposta

Como definir e inicializar um vetor contendo apenas uns em Verilog?

1 a resposta

Inclua um módulo no verilog

2 a resposta

número de verilog de uns no array

2 a resposta

Melhor maneira de acessar o uvm_config_db a partir do testbench?

Eu quero criar um relógio no meu testbench de nível superior, cujo período pode ser controlado a partir do teste. O que eu fiz foi definir o período no uvm_c...

3 a resposta

Como definir um multiplexador parametrizado usando o SystemVerilog

2 a resposta

Verilog: como instanciar um módulo

Se eu tiver um módulo Verilog 'top' e um módulo verilog 'subcomponent' como instanciar o subcomponente no topo?topo:

2 a resposta

Alguém pode me ajudar a criar um testbench da Verilog?

Alguém pode me ajudar a escrever um banco de testes ou apenas o código de entrada para o meu código a seguir? Como não tenho ideias de como escrever o banco ...

1 a resposta

o tamanho da porta não corresponde ao tamanho da conexão

Eu escrevi o códigoAlu.v

2 a resposta

Vários drivers Verilog

Estou tentando fazer o BCD Counter usando o Verilog que será conectado ao decodificador de 7 segmentos.Depois que eu sintetizo, o erro ocorreu assim: