Como faço para ler uma variável de ambiente no Verilog / System Verilog?

Como faço para ler uma variável de ambiente no Verilog? (Rodando em um simulador VCS)

Estou tentando realizar

File=$fopen("$PATH/FileName","r");

$ PATH é uma variável de ambiente.

questionAnswers(3)

yourAnswerToTheQuestion