Resultados de la búsqueda a petición "vlsi"

2 la respuesta

¿Cómo usar la activación de reloj en RTL?

Soy un reloj que abre algunaspestilloy lógica en mi diseño. No tengo mucha experiencia en síntesis, lugar y ruta. ¿Cuál es la forma correcta de implementar la activación de reloj en RTL? Ejemplo 1: always_comb begin gated_clk = clk & ...

3 la respuesta

procesamiento de texto tcl: reorganice los valores en filas y columnas según el valor definido por el usuario

Soy nuevo en tcl y me gustaría usarlo en el procesamiento de texto de un caso simple. El siguiente formato está en Liberty (archivo .lib) que se utiliza en el diseño de chips. Estaría realmente en deuda por cualquier ayuda en esto. Aquí hay un ...

2 la respuesta

Multiplicación por suma de series de potencia con términos negativos

¿Cómo puedo calcular un multiplicando de coma flotante en Verilog? Hasta ahora, generalmente uso shift << 1024, luego el número de coma flotante se convierte en entero. Luego hago algunas operaciones, luego >> 1024 para obtener una fracción de ...

1 la respuesta

¿Cómo puedo aplicar una fracción fija al entero?

Me gustaría multiplicar a entero con fracción modificada de la siguiente manera (Multiplicación por suma de series de potencia con términos ...