Resultados de la búsqueda a petición "vhdl"

12 la respuesta

shift un std_logic_vector de n bit a derecha o izquierda

Tengo un vectorsignal tmp : std_logic_vector(15 downto 0) Tengo que cambiarlo a izquierda o derecha de n bit. ¿Cómo puedo realizar esta operación? Pensé en la operación de concatenación pero no sabía cómo usarla.

4 la respuesta

VHDL STD_LOGIC_VECTOR Valores comodín

He estado tratando de escribir una máquina de estados finitos en código VHDL para un procesador simple de 16 bits que estoy implementando en una placa Altera DE1. En la máquina de estados finitos, tengo unaCASE instrucción que maneja ...