shift un std_logic_vector de n bit a derecha o izquierda

Tengo un vectorsignal tmp : std_logic_vector(15 downto 0)

Tengo que cambiarlo a izquierda o derecha de n bit. ¿Cómo puedo realizar esta operación? Pensé en la operación de concatenación pero no sabía cómo usarla.

Respuestas a la pregunta(12)

Su respuesta a la pregunta