Resultados de la búsqueda a petición "verilog"

2 la respuesta

$ readmemh $ writememh recursos relacionados

De repente, se me pidió que buscara un código verilog testbench que usa mucho $ readmemh y $ writememh. Entendí que básicamente leía en la memoria y escribía en la memoria. Me alegrará si puede señalar algunos recursos relacionados con ...

2 la respuesta

¿Hay alguna razón para inicializar (no restablecer) las señales en VHDL y Verilog?

Nunca he inicializado señales. De esa manera, cualquier señal que falte a un reinicio o asignación sería desconocida o inicializada. En algún código de referencia tienen inicialización. Esto derrota lo que deseo. Además, dado que ...

2 la respuesta

Verilog Barrel Shifter

Quiero crear una palanca de cambios de barril de 64 bits en verilog (girar a la derecha por ahora). ¿Quiero saber si hay una manera de hacerlo sin escribir una declaración de caso de 65 partes? ¿Hay alguna manera de escribir un código simple ...

2 la respuesta

¿Cómo defino un módulo con un parámetro en Verilog?

Quiero definir unaadd módulo que tiene un parámetro, pero mi declaración de la nueva instancia no funciona bien. Quiero definir una instancia de este módulo: module add #(parameter wd=1) (input wire [wd-1:0] a,b, output wire [wd-1:0] ...

3 la respuesta

¿Cómo emular $ display usando Verilog Macros?

Quiero crear una macro con múltiples parámetros como $ display.Mi código se ve así, pero no funciona.

3 la respuesta

Cómo implementar un generador de números aleatorios de hardware (pseudo)

¿Cómo implementa un generador de números aleatorios de hardware en un HDL (verilog)?¿Qué opciones hay que considerar?Esta pregunta está siguiendo elrespuesta...

3 la respuesta

¿Cómo leo una variable de entorno en Verilog / System Verilog?

¿Cómo leo una variable de entorno en Verilog? (Funcionando en un simulador VCS)Estoy tratando de lograr

3 la respuesta

Instanciación condicional del módulo verilog

¿Es posible crear una instancia condicional de un módulo en el verliog?ejemplo:

3 la respuesta

¿Cuál es la diferencia entre Verilog! y ~?

Así que terminó que el error que me había mantenido durante días, era una sección de código que debería haber evaluado a Falso evaluando a Verdadero. Mi códi...

3 la respuesta

¿Cómo cablear dos módulos en verilog?

He escrito dos módulos DLatch y RSLatch y quiero escribir código verilog para unirlos.