Resultados de la búsqueda a petición "system-verilog"

2 la respuesta

¿Cómo definir e inicializar un vector que contiene solo unos en Verilog?

2 la respuesta

Verilog multiples drivers

Estoy intentando hacer un contador BCD usando Verilog que se conectará a un decodificador de 7 segmentos.Después de que lo sintetice, el error ocurrió así:

5 la respuesta

vectores empaquetados vs desempaquetados en el sistema verilog

Al mirar un código que mantengo en System Verilog, veo algunas señales que se definen así: node [range_hi:range_lo]x;y otros que se definen así: node y[range_hi:range_lo];Entiendo quex se define como empaquetado, mientras quey se define como ...

2 la respuesta

Verilog: Cómo instanciar un módulo

Si tengo un módulo Verilog 'top' y un módulo 'verilog' subcomponent ', ¿cómo puedo crear una instancia de subcomponent en top?parte super...

3 la respuesta

Parámetros del sistema Verilog en generar bloque

2 la respuesta

¿Cómo pasar un valor variable a una macro en SystemVerilog?

Creo que la pregunta lo resume bastante bien a lo que quiero: pasar el valor de una variable a una macro en SystemVerilog.Por ejemplo, lo que quiero: Digo, h...

2 la respuesta

Pruebas en serie y aserciones con System-Verilog

2 la respuesta

¿Qué es `+:` y `-:`?

2 la respuesta

ADDRESS WIDTH de RAM DEPTH

Estoy implementando una DPRAM configurable donde la PROFUNDIDAD DE RAM es el parámetro. ¿Cómo determinar el ANCHO DE DIRECCIÓN a partir de la PROFUNDIDAD DE RAM? Conozco la relación PROFUNDIDAD DE RAM = 2 ^ (ANCHO DE DIRECCIÓN) es decir, ...

3 la respuesta

¿Cómo incrustar Systemverilog Interpreter usando DPI-C?

Descripción del problema: Diseño en SystemVerilog y escribo los bancos de pruebas en el mismo idioma. Quiero poder compilar mi diseño y probar diferentes funciones durante la simulación de la forma en que usaría un intérprete con e. Idealmente, ...