Resultados de la búsqueda a petición "alu"

1 la respuesta

Hacer una ALU de 16 bits utilizando ALU de 1 bit

Hola, estoy tratando de crear una ALU de 16 bits a partir de varias ALU de 1 bit. Creé un paquete llamado basic_alu1 que contiene un componente de la ALU de 1 bit. El código para esto es: library ieee; use ieee.std_logic_1164.all; package ...

2 la respuesta

Hacer una ALU de 4 bits a partir de varias ALU de 1 bit

Estoy tratando de combinar varias ALU de 1 bit en una ALU de 4 bits. Estoy confundido acerca de cómo hacer esto realmente en VHDL. Aquí está el código para la ALU de 1 bit que estoy usando: component alu1 -- define the 1 bit alu component ...

4 la respuesta

¿Cómo resta la CPU?

Tengo algunas dudas básicas, pero cada vez que me siento a probar las preguntas de la entrevista, estas preguntas y mis dudas aparecen. Diga A = 5 B = -2 Digo A + B. Supongo que A y B son de 4 bytes ¿Cómo hace la CPU esta adición? Entiendo ...